ISIS SCHEMATIC FILEy DesignplateDS kjh\ kjdg\bJS11/10/2411/10/305N N hDESIGN CONFIGURATION DATAArialDefault Font p M M ``@@@@ COMPONENTȪȪPINPORT@MARKER`O@ACTUATOR`O@@ INDICATOR`O@@VPROBE`OIPROBE`OTAPE`O GENERATOR`OTERMINAL@ SUBCIRCUIT=ȪȪ 2D GRAPHICWIRE DOT@@@WIRE@BUS WIREPSBORDERȪȪTEMPLATE COMPONENT ID&H Default FontCOMPONENT VALUE&0 Default Font PROPERTIES&0 Default FontTERMINAL LABEL&0 Default Font WIRE LABEL&0 Default Font SUBCKT ID&H Default Font SUBCKT NAME&0 Default FontSCRIPT&0 Default FontPIN NAME&0 Default Font PIN NUMBER&0 Default Font VPROBE LABEL&0 Default Font IPROBE LABEL&0 Default FontGENERATOR LABEL&0 Default Font TAPE LABEL&0 Default FontACTIVE READOUT0ArialPWRRAILS*RAILS *BINDINGS $DCGEN޳3z j`"`OGENERATOR/H/0H<4"`OGENERATOR04$MKRORIGIN04H$MKRLABEL<4"`OGENERATOR|p|<4"`OGENERATOR|PS|<4"`OGENERATOR|0|<4"`OGENERATOR|m|<4"`OGENERATORPSPS<4"`OGENERATOR3||$IPROBE޳3g``OIPROBEHHHH00HH50`OIPROBEhC94`OIPROBEXmhC94`OIPROBEhCX94`OIPROBE04`H$MKRLABEL04$MKRORIGIN94`OIPROBEhChC $MKRBUSNODE޳3I=8`O@MARKER9p s $MKRDECREMENT:70!`O@@ACTUATOR;4!`O@@ACTUATOR9ȜȜ;4!`O@@ACTUATOR98cȜ;4!`O@@ACTUATOR9p $MKRINCREMENT:70!`O@@ACTUATOR;4!`O@@ACTUATORpȜ8c;4!`O@@ACTUATORp8c8c;4!`O@@ACTUATORp9 $MKRLABEL޳304$MKRORIGINkf`O@MARKER0Default FontLABEL$MKRNODE޳3~94`O@MARKER9pp994`O@MARKER99pp $MKRORIGIN{3=8`O@MARKER9p s94`O@MARKER s94`O@MARKER s $MKRPINNAME޳304$MKRORIGINje`O@MARKER0Default FontNAME $MKRPINNUM޳304$MKRORIGINhc`O@MARKER/0Default Font99 $MKRTOGGLE7j70!`O@@ACTUATOR;4!`O@@ACTUATOR9p;4!`O@@ACTUATOR9ȜȜ;4!`O@@ACTUATOR98cȜ;4!`O@@ACTUATORȜ8cp;4!`O@@ACTUATORp8c8c$PINBUS޳304$MKRORIGIN04?$MKRBUSNODE04$MKRPINNAME $PINDEFAULT޳3264PIN?04$MKRORIGIN04?$MKRNODE04$MKRPINNUM04$MKRORIGIN04$MKRPINNAME $PININVERT޳3d20PINX)64PIN?04$MKRPINNUM04$MKRORIGIN04?$MKRNODE04$MKRORIGIN04$MKRPINNAME $PINNEGCLK޳3d`PINX))))X)64PIN?04$MKRPINNUM20PINX)04?$MKRNODE04$MKRORIGIN04$MKRORIGIN04$MKRPINNAME $PINPOSCLK޳3d`PINX))))X)64PIN?04$MKRPINNUM04$MKRORIGIN04?$MKRNODE04$MKRORIGIN04$MKRPINNAME $PINSHORT޳364PIN04$MKRPINNUM04$MKRNODE04$MKRORIGIN04$MKRORIGIN$SINEGEN߳3Bj`"`OGENERATOR/H/0HLD"`OGENERATOR|hCpmmLD"`OGENERATOR0|0hCmmLD"`OGENERATOR0|0PSHLD"`OGENERATOR`|`PSH<4"`OGENERATOR04$MKRORIGIN04H$MKRLABEL$TAPE߳3v'e``OTAPE9p3939pm9330`OTAPEm30`OTAPE|m74`OTAPE 74`OTAPE(PS74`OTAPEm m74`OTAPEm PS74`OTAPEm  H74`OTAPE (H74`OTAPE(PS`Y74`OTAPE PS30`OgTAPEmp30`OgTAPE|mp74`OTAPE#(#74`OTAPE##74`OTAPExI##74`OTAPEX#Ȝ#74`OTAPE8c#)#74`OTAPE##74`OTAPE|#hC#74`OTAPE #m#74`OTAPEІ74`OTAPEHiІ74`OTAPEHi)74`OTAPE)74`OTAPEІ74`OTAPEHi)()74`OTAPE) )74`OTAPEІ74`OTAPE`Y74`OTAPEHi04$MKRORIGIN74`OTAPE)X)74`OTAPE))|)74`OTAPEX3X74`OTAPEXHi s74`OTAPE3X s04x `$MKRLABEL04$MKRORIGIN $TERBIDIR߳3{i`!@TERMINAL s0 s0;4!@TERMINAL;4!@TERMINAL s04$MKRORIGIN04$MKRNODE04H$MKRLABEL$TERBUS߳3i`!@TERMINAL040$MKRBUSNODE04$MKRORIGIN04$MKRLABEL $TERDEFAULT߳370!@TERMINAL;4!@TERMINAL90040$MKRNODE04$MKRORIGIN04/$MKRLABEL $TERGROUND߳3;4!@TERMINAL0;4!@TERMINAL0;4!@TERMINAL;4!@TERMINALȜ8c04$MKRORIGIN040$MKRNODE04?$MKRLABEL $TERINPUT߳3@i`!@TERMINAL s s;4!@TERMINAL004t$MKRORIGIN040t$MKRNODE04/$MKRLABEL $TEROUTPUT߳3@i`!@TERMINAL s0 s0;4!@TERMINAL04H$MKRLABEL04$MKRNODE04$MKRORIGIN $TERPOWER߳3@i`!@TERMINAL00;4!@TERMINAL004$MKRNODE04$MKRORIGIN04`$MKRLABEL$VPROBE߳3 g``OVPROBEHHHH00HH94`OVPROBE04`H$MKRLABEL04$MKRORIGIN $IAC AMMETERѕF3 v 80"ȪȪCOMPONENT`ph"ȪȪCOMPONENT ArialAC Amps04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8?$PINSHORT+ `$PINSHORT-IMETER_C80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8IMETER_0X80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS04$MKRORIGINIMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINIMETER_2ph"ȪȪCOMPONENT ArialAC Amps04$MKRORIGINIMETER_3nf"ȪȪCOMPONENT ArialAC mA04$MKRORIGINIMETER_404$MKRORIGINnf"ȪȪCOMPONENT ArialAC A_{*DEVICE} {ACTIVE=IMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Amps",3="Milliamps",4="Microamps"} {TC="Time Constant",FLOAT,PNZ} {*INDEX} {*COMPONENT} {MODDLL=READOUT} {MODFILE=ACIMETER} {STATE=2} {TC=100ms} {PACKAGE=NULL} $IAC VOLTMETERѕF7 G80"ȪȪCOMPONENT`qi"ȪȪCOMPONENT ArialAC Volts<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGIN ? $PINSHORT- `t$PINSHORT+VMETER_C80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGINVMETER_0(80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@04$MKRORIGINVMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINVMETER_2qi"ȪȪCOMPONENT ArialAC Volts04$MKRORIGINVMETER_3nf"ȪȪCOMPONENT ArialAC mV04$MKRORIGIN04$MKRORIGINVMETER_4nf"ȪȪCOMPONENT ArialAC V04$MKRORIGIN04$MKRORIGIN{*DEVICE} {ACTIVE=VMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Volts",3="Millivolts",4="Microvolts"} {LOAD="Load Resistance",FLOAT,PNZ} {TC="Time Constant",FLOAT,PNZ} {*INDEX} {*COMPONENT} {LOAD=100M} {MODDLL=READOUT} {MODFILE=ACVMETER} {STATE=2} {TC=100ms} {PACKAGE=NULL} $ICOUNTER TIMERѕFT$k"  ;@8"ȪȪCOMPONENT@04$MKRORIGIN@8"Ȫ`COMPONENT Xo1 <4"ȪȪxCOMPONENT p<4"ȪȪxCOMPONENTp- 8 آ<4"ȪȪxCOMPONENTp- ? ?<4"ȪȪxCOMPONENTpآ<4"ȪȪxCOMPONENT8 hg p_<4"ȪȪxCOMPONENTph p_<4"ȪȪxCOMPONENT8 8h8<4"ȪȪxCOMPONENT` A<4"ȪȪxCOMPONENTآ<4"ȪȪxCOMPONENT??<4"ȪȪxCOMPONENTX Aآ<4"ȪȪxCOMPONENThH7p_<4"ȪȪxCOMPONENT Ahp_<4"ȪȪxCOMPONENT8z8<4"ȪȪxCOMPONENT81h<4"ȪȪxCOMPONENTjآ<4"ȪȪxCOMPONENT?0?<4"ȪȪxCOMPONENTthآ<4"ȪȪxCOMPONENTjhp_<4"ȪȪxCOMPONENThh0p_<4"ȪȪxCOMPONENTj8J8<4"ȪȪxCOMPONENT"<4"ȪȪxCOMPONENTH;آ<4"ȪȪxCOMPONENTH?x~"?<4"ȪȪxCOMPONENTD#"آ<4"ȪȪxCOMPONENT;hp_<4"ȪȪxCOMPONENT"hx~"p_<4"ȪȪxCOMPONENT;8@"8<4"ȪȪxCOMPONENT$(<4"ȪȪxCOMPONENTn$X $آ<4"ȪȪxCOMPONENTn$?N(?<4"ȪȪxCOMPONENT0)(آ<4"ȪȪxCOMPONENTX $h #p_<4"ȪȪxCOMPONENT(hN(p_<4"ȪȪxCOMPONENTX $8'8<4"ȪȪxCOMPONENT*@.<4"ȪȪxCOMPONENT>*)آ<4"ȪȪxCOMPONENT>*?.?<4"ȪȪxCOMPONENTx.@.آ<4"ȪȪxCOMPONENT)hhx)p_<4"ȪȪxCOMPONENT@.h.p_<4"ȪȪxCOMPONENT)8л-8<4"ȪȪxCOMPONENTXr0R4<4"ȪȪxCOMPONENT 0/آ<4"ȪȪxCOMPONENT 0?P3?<4"ȪȪxCOMPONENT4R4آ<4"ȪȪxCOMPONENT/hH/p_<4"ȪȪxCOMPONENTR4hP3p_<4"ȪȪxCOMPONENT/838<4"ȪȪxCOMPONENTB6":<4"ȪȪxCOMPONENTh50|5آ<4"ȪȪxCOMPONENTh5?9?<4"ȪȪxCOMPONENT:":آ<4"ȪȪxCOMPONENT0|5h5p_<4"ȪȪxCOMPONENT":h9p_<4"ȪȪxCOMPONENT0|58`\98p_$PINDEFAULTRST$PINPOSCLKCLK?$PINDEFAULTCECTIMER_0<4" FȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT)pO04$MKRORIGIN<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_1<4" FȪȪxCOMPONENT)<4" FȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT)P P<4" FȪȪxCOMPONENT/m/<4" FȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO04$MKRORIGINCTIMER_2<4" FȪȪxCOMPONENTHmO<4" FȪȪxCOMPONENT)<4" ȪȪxCOMPONENT)pO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H04$MKRORIGINCTIMER_3<4" ȪȪxCOMPONENTHmO<4" FȪȪxCOMPONENT)<4" FȪȪxCOMPONENT)pO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H04$MKRORIGINCTIMER_4<4" FȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" FȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT3H04$MKRORIGIN<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENTHmOCTIMER_5<4" FȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT3H04$MKRORIGIN<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT)CTIMER_6<4" ȪȪxCOMPONENT)pO<4" FȪȪxCOMPONENT3H04$MKRORIGIN<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT sH s<4" ȪȪxCOMPONENT)CTIMER_7<4" FȪȪxCOMPONENT)pO04$MKRORIGIN<4" FȪȪxCOMPONENT)P P<4" FȪȪxCOMPONENT/m/<4" FȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_8<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT)pO04$MKRORIGIN<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_9<4" FȪȪxCOMPONENT)pO04$MKRORIGIN<4" ȪȪxCOMPONENT)P P<4" ȪȪxCOMPONENT/m/<4" ȪȪxCOMPONENT)<4" ȪȪxCOMPONENT3H<4" ȪȪxCOMPONENTHmO<4" ȪȪxCOMPONENT sH sCTIMER_10x04$MKRORIGIN<4" FȪȪxCOMPONENT33CTIMER_11x04$MKRORIGIN<4" ȪȪxCOMPONENT33{*DEVICE} {ACTIVE=CTIMER,12,DLL} {HELP=INSTRUMENTS>POPUP,505} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODE="Operating Mode",KWDLIST,4,0="Time (secs)",1="Time (hms)",2="Frequency",3="Count"} {GATEPOL="Count Enable Polarity",KWDLIST,2,0="High",1="Low"} {RSTEDGE="Reset Edge Polarity",KWDLIST,2,0="Low-High",1="High-Low"} {*INDEX} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=CTIMER.DLL} {RSTEDGE=0} {GATEPOL=0} {MODE=0} {PACKAGE=NULL} $IDC AMMETERѕF s 80"ȪȪCOMPONENT`me"ȪȪCOMPONENT ArialAmps04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8?$PINSHORT+ `$PINSHORT-IMETER_C80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS@8"`O@@INDICATOR9 004$MKRORIGINnf"`O@@{INDICATOR) 0Default Font+88.8IMETER_0X80"ȪȪCOMPONENT`04$MKRORIGIN<4"1ȪȪ}COMPONENTO<4"1ȪȪ}COMPONENT|<4"1ȪȪ}COMPONENTx PSX= PS04$MKRORIGINIMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINIMETER_2me"ȪȪCOMPONENT ArialAmps04$MKRORIGINIMETER_3kc"ȪȪCOMPONENT ArialmA04$MKRORIGINIMETER_404$MKRORIGINkc"ȪȪCOMPONENT ArialA5{*DEVICE} {ACTIVE=IMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Amps",3="Milliamps",4="Microamps"} {*INDEX} {*COMPONENT} {MODDLL=READOUT} {MODFILE=DCIMETER} {STATE=2} {PACKAGE=NULL} $IDC VOLTMETERѕF D80"ȪȪCOMPONENT`nf"ȪȪCOMPONENT ArialVolts<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGIN ? $PINSHORT- `t$PINSHORT+VMETER_C80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@@8"`O@@INDICATOR9 0nf"`O@@{INDICATOR) 0Default Font+88.804$MKRORIGINVMETER_0(80"ȪȪCOMPONENT`<4"1ȪȪ}COMPONENT sv @v <4"1ȪȪ}COMPONENTX= x <4"1ȪȪ}COMPONENT s@04$MKRORIGINVMETER_1|@8"`O@@INDICATORm9 004$MKRORIGINVMETER_2nf"ȪȪCOMPONENT ArialVolts04$MKRORIGINVMETER_3kc"ȪȪCOMPONENT ArialmV04$MKRORIGIN04$MKRORIGINVMETER_4kc"ȪȪCOMPONENT ArialV04$MKRORIGIN04$MKRORIGINg{*DEVICE} {ACTIVE=VMETER,5,DLL} {HELP=INSTRUMENTS>POPUP,507} {*PROPDEFS} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODFILE="LISA Model File",HIDDEN STRING} {STATE="Display Range",KWDLIST,3,2="Volts",3="Millivolts",4="Microvolts"} {LOAD="Load Resistance",FLOAT,PNZ} {*INDEX} {*COMPONENT} {LOAD=100M} {MODDLL=READOUT} {MODFILE=DCVMETER} {STATE=2} {PACKAGE=NULL} $II2C DEBUGGERѕF(@8"ȪȪCOMPONENTp_& A@8"ȪbCOMPONENT/?ld"ȪȪ{COMPONENT(] ArialFI2C04$MKRORIGIN`$PINDEFAULTSDA$PINDEFAULTSCL?$PINDEFAULTTRIG{*DEVICE} {ACTIVE=I2C_DEBUG,0,DLL} {HELP=INSTRUMENTS>POPUP,510} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model DLL",HIDDEN STRING} {CLOCKFREQ="Clock frequency in Hz",INT,PNZ} {ADDRESS1="Address byte 1",INT,0,255} {ADDRESS2="Address byte 2",INT,0,255} {STOPONEMPTY="Stop on buffer empty",BOOL} {TIMEPREC="Time display precision",ADVANCED INT,1,12} {WRAPLENGTH="New line after",ADVANCED INT,1,64} {AUTOLOAD="Queue stored sequences at startup",ADVANCED YESNO} {SEQUENCE_FILE="Sequence file",ADVANCED FILENAME,FALSE,,Text file/*.txt} {*INDEX} {CAT=Miscellaneous} {SUBCAT=} {MFR=} {DESC=I2C Protocol Debugger} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=i2cdebug.dll} {AUTOLOAD=0} {CLOCKFREQ=100000} {STOPONEMPTY=1} {WRAPLENGTH=64} {PACKAGE=NULL} $ILOGIC ANALYSERѕF@8"ȪȪCOMPONENT@ >`E*04$MKRORIGIN@8"8cȪdCOMPONENTȜPP'D#04$MKRORIGIN<4" ȪȪxCOMPONENT&<4" ȪȪxCOMPONENT?&?<4" ȪȪxCOMPONENTp_&p_<4" ȪȪxCOMPONENT@&@<4" ȪȪxCOMPONENT&<4" ȪȪxCOMPONENT&<4" ȪȪxCOMPONENT&<4"ȪȪxCOMPONENT00P<4" ȪȪxCOMPONENT&<4"ȪȪxCOMPONENT``P<4"ȪȪxCOMPONENT P<4"ȪȪxCOMPONENTP<4"ȪȪxCOMPONENT``P<4"ȪȪxCOMPONENT A AP<4"ȪȪxCOMPONENTP!P!P<4"ȪȪxCOMPONENTP<4"ȪȪxCOMPONENT""P@$PINDEFAULTA0@$PINDEFAULTA1@?$PINDEFAULTA2@p_$PINDEFAULTA3@@$PINDEFAULTA4@$PINDEFAULTA5@$PINDEFAULTA6@$PINDEFAULTA7B6$PINDEFAULTA8B6$PINDEFAULTA9B6?$PINDEFAULTA10B6p_$PINDEFAULTA11B6@$PINDEFAULTA12B6$PINDEFAULTA13B6$PINDEFAULTA14B6$PINDEFAULTA15@$PINBUSB0[0..7]@P$PINBUSB1[0..7]B6$PINBUSB2[0..7]B6P$PINBUSB3[0..7]){*DEVICE} {ACTIVE=DLA,0,DLL} {HELP=INSTRUMENTS>POPUP,502} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model",READONLY STRING} {PACKAGE="PCB Package",PACKAGE,0} {*INDEX} {CAT=Virtual Instrument} {SUBCAT=} {MFR=} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=DLA} {PACKAGE=NULL} $IOSCILLOSCOPEѕF!1k@8"ȪȪwCOMPONENT@"@8"8c0ȪhCOMPONENTOOQ`<4"ȪȪxCOMPONENTO0 0<4"ȪȪxCOMPONENTO` `<4"ȪȪxCOMPONENTx O<4"ȪȪxCOMPONENTx O<4"ȪȪxCOMPONENTx O<4"ȪȪxCOMPONENT0x 0O<4"ȪȪxCOMPONENTHx HO<4"ȪȪxCOMPONENT`x `O<4"ȪȪxCOMPONENTx x x O<4"ȪȪxCOMPONENTOH H<4"ȪȪxCOMPONENTO <4"ȪȪxCOMPONENTO <4"ȪȪxCOMPONENTO <4"ȪȪxCOMPONENTO/ /<4"ȪȪxCOMPONENTO? ?<4"ȪȪxCOMPONENT/x /O<4"ȪȪxCOMPONENT?x ?O04$MKRORIGIN<4"ȪȪxCOMPONENTx O=4#x2D GRAPHICOH?H=4#x2D GRAPHIC?H?#=4#x2D GRAPHIC?#/#=4#x2D GRAPHIC/#/H=4#x2D GRAPHIC/HH=4#x2D GRAPHICH#=4#x2D GRAPHIC##=4#x2D GRAPHIC#H=4#x2D GRAPHICHH=4#x2D GRAPHICH#=4#x2D GRAPHIC##=4#x2D GRAPHIC#H=4#x2D GRAPHICH0H=4#x2D GRAPHIC0H0#=4#x2D GRAPHIC0#H#=4#x2D GRAPHICH#HH=4#x2D GRAPHICHH`H=4#x2D GRAPHIC`H`#=4#x2D GRAPHIC`#x #=4#x2D GRAPHICx #x H=4#x2D GRAPHICx H H=4#x2D GRAPHICO?=4#x2D GRAPHIC?? s=4#x2D GRAPHIC? s/ s=4#x2D GRAPHIC/ s/=4#x2D GRAPHIC/=4#x2D GRAPHIC s=4#x2D GRAPHIC s s=4#x2D GRAPHIC s=4#x2D GRAPHIC=4#x2D GRAPHIC s=4#x2D GRAPHIC s s=4#x2D GRAPHIC s=4#x2D GRAPHIC0=4#x2D GRAPHIC00 s=4#x2D GRAPHIC0 sH s=4#x2D GRAPHICH sH=4#x2D GRAPHICH`=4#x2D GRAPHIC`` s=4#x2D GRAPHIC` sx s=4#x2D GRAPHICx sx =4#x2D GRAPHICx  =4#x2D GRAPHIC)O=4#x2D GRAPHIC)?|=4#x2D GRAPHIC?|)=4#x2D GRAPHIC)`Y|=4#x2D GRAPHIC`Y|@)=4#x2D GRAPHIC@) s|=4#x2D GRAPHIC s|)=4#x2D GRAPHIC)|=4#x2D GRAPHIC|)=4#x2D GRAPHIC)|=4#x2D GRAPHIC|3)=4#x2D GRAPHIC3)`|=4#x2D GRAPHIC`|@M )=4#x2D GRAPHIC@M ) |=4#x2D GRAPHIC | PS<4"ȪȪxCOMPONENTO MD#x2D GRAPHICOOYMD#x2D GRAPHIChhMD#x2D GRAPHIChh93?آ?MD#x2D GRAPHICآ?w?HiHiMD#x2D GRAPHICHiHi/MD#x2D GRAPHIC/W((MD#x2D GRAPHIC((L??MD#x2D GRAPHIC?7,?MD#x2D GRAPHICxIMD#x2D GRAPHICxIMD#x2D GRAPHICf?X?MD#x2D GRAPHICX?E?ȜȜMD#x2D GRAPHICȜȜ8cMD#x2D GRAPHIC8c))MD#x2D GRAPHIC))y??MD#x2D GRAPHIC?_?MD#x2D GRAPHICY |MD#x2D GRAPHIC|hChCMD#x2D GRAPHIChChC9? ?MD#x2D GRAPHIC ?wy?HHMD#x2D GRAPHICHH'MD#x2D GRAPHICW(](]MD#x2D GRAPHIC(](]?#?MD#x2D GRAPHIC#?7?MD#x2D GRAPHIC@ x MD#x2D GRAPHICx  v v MD#x2D GRAPHICv v  ?X= ?MD#x2D GRAPHICX= ? X= ?  $PINDEFAULTA0$PINDEFAULTB$PINDEFAULTCp_$PINDEFAULTD/{*DEVICE} {ACTIVE=DSO,0,DLL} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model",READONLY STRING} {TRIGAUTO="TRIGAUTO",HIDDEN STRING} {TRIGSRC="TRIGSRC",HIDDEN STRING} {TRIGEDGE="TRIGEDGE",HIDDEN STRING} {TRIGACDC="TRIGACDC",HIDDEN STRING} {TRIGLEVEL="TRIGLEVEL",HIDDEN STRING} {TRIGDELAY="TRIGDELAY",HIDDEN STRING} {HORIZSRC="HORIZSRC",HIDDEN STRING} {HORIZVAL="HORIZVAL",HIDDEN STRING} {HORIZPOS="HORIZPOS",HIDDEN STRING} {CHAINV="CHAINV",HIDDEN STRING} {CHASUM="CHASUM",HIDDEN STRING} {CHAACDC="CHAACDC",HIDDEN STRING} {CHAVAL="CHAVAL",HIDDEN STRING} {CHAPOS="CHAPOS",HIDDEN STRING} {CHBINV="CHBINV",HIDDEN STRING} {CHBACDC="CHBACDC",HIDDEN STRING} {CHBVAL="CHBVAL",HIDDEN STRING} {CHBPOS="CHBPOS",HIDDEN STRING} {CHCINV="CHCINV",HIDDEN STRING} {CHCSUM="CHCSUM",HIDDEN STRING} {CHCACDC="CHCACDC",HIDDEN STRING} {CHCVAL="CHCVAL",HIDDEN STRING} {CHCPOS="CHCPOS",HIDDEN STRING} {CHDINV="CHDINV",HIDDEN STRING} {CHDACDC="CHDACDC",HIDDEN STRING} {CHDVAL="CHDVAL",HIDDEN STRING} {CHDPOS="CHDPOS",HIDDEN STRING} {PACKAGE="PCB Package",PACKAGE,0} {*INDEX} {CAT=Virtual Instruments} {DESC=Digital Storage Oscilloscope} {SUBCAT=} {MFR=} {*COMPONENT} {MODDLL=DSO.DLL} {PRIMITIVE=ANALOG} {TRIGAUTO=TRUE} {TRIGSRC=0} {TRIGEDGE=0} {TRIGACDC=1} {TRIGLEVEL=0} {TRIGDELAY=0} {HORIZSRC=0} {HORIZVAL=1.e-003} {HORIZPOS=0} {CHAINV=FALSE} {CHASUM=FALSE} {CHAACDC=0} {CHAVAL=5.} {CHAPOS=120} {CHBINV=FALSE} {CHBACDC=0} {CHBVAL=5.} {CHBPOS=40} {CHCINV=FALSE} {CHCSUM=FALSE} {CHCACDC=0} {CHCVAL=5.} {CHCPOS=-40} {CHDINV=FALSE} {CHDACDC=0} {CHDVAL=5.} {CHDPOS=-120} {PACKAGE=NULL} $IPATTERN GENERATORѕFS@8"ȪȪCOMPONENT]0A*04$MKRORIGIN@8"ȪkCOMPONENT&@8"ȪkCOMPONENT4P00@8"ȪkCOMPONENT4 00@8"ȪkCOMPONENT4+00@8"ȪkCOMPONENT4K00@8"ȪkCOMPONENT4k00@8"ȪkCOMPONENT4`00@8"ȪkCOMPONENT4000@8"ȪkCOMPONENT400@8"ȪkCOMPONENT0P00@8"ȪkCOMPONENT0 00@8"ȪkCOMPONENT0+00@8"ȪkCOMPONENT0K00@8"ȪkCOMPONENT0k00@8"ȪkCOMPONENT0`00@8"ȪkCOMPONENT0000@8"ȪkCOMPONENT000@8"ȪkCOMPONENT`,P00@8"ȪkCOMPONENT`, 00@8"ȪkCOMPONENT`,+00@8"ȪkCOMPONENT`,K00@8"ȪkCOMPONENT`,k00@8"ȪkCOMPONENT`,`00@8"ȪkCOMPONENT`,000@8"ȪkCOMPONENT`,00@8"ȪkCOMPONENT0)P00@8"ȪkCOMPONENT0) 00@8"ȪkCOMPONENT0)+00@8"ȪkCOMPONENT0)K00@8"ȪkCOMPONENT0)k00@8"ȪkCOMPONENT0)`00@8"ȪkCOMPONENT0)000@8"ȪkCOMPONENT0)00@8"ȪkCOMPONENT5%P00@8"ȪkCOMPONENT5% 00@8"ȪkCOMPONENT5%+00@8"ȪkCOMPONENT5%K00@8"ȪkCOMPONENT5%k00@8"ȪkCOMPONENT5%`00@8"ȪkCOMPONENT5%000@8"ȪkCOMPONENT5%00@8"ȪkCOMPONENTT!P00@8"ȪkCOMPONENTT! 00@8"ȪkCOMPONENTT!+00@8"ȪkCOMPONENTT!K00@8"ȪkCOMPONENTT!k00@8"ȪkCOMPONENTT!`00@8"ȪkCOMPONENTT!000@8"ȪkCOMPONENTT!00@8"ȪkCOMPONENTtP00@8"ȪkCOMPONENTt 00@8"ȪkCOMPONENTt+00@8"ȪkCOMPONENTtK00@8"ȪkCOMPONENTtk00@8"ȪkCOMPONENTt`00@8"ȪkCOMPONENTt000@8"ȪkCOMPONENTt00@8"ȪkCOMPONENTpP00@8"ȪkCOMPONENTp 00@8"ȪkCOMPONENTp+00@8"ȪkCOMPONENTpK00@8"ȪkCOMPONENTpk00@8"ȪkCOMPONENTp`00@8"ȪkCOMPONENTp000@8"ȪkCOMPONENTp00@8"ȪkCOMPONENT@P00@8"ȪkCOMPONENT@ 00@8"ȪkCOMPONENT@+00@8"ȪkCOMPONENT@K00@8"ȪkCOMPONENT@k00@8"ȪkCOMPONENT@`00@8"ȪkCOMPONENT@000@8"ȪkCOMPONENT@00@8"ȪkCOMPONENTP00@8"ȪkCOMPONENT 00@8"ȪkCOMPONENT+00@8"ȪkCOMPONENTK00@8"ȪkCOMPONENTk00@8"ȪkCOMPONENT`00@8"ȪkCOMPONENT000@8"ȪkCOMPONENT00@$PINDEFAULTOE0A$PINBUSB[0..7]0Ap_$PINDEFAULTQ00A@$PINDEFAULTQ10A$PINDEFAULTQ20A$PINDEFAULTQ30A$PINDEFAULTQ40A$PINDEFAULTQ50AP$PINDEFAULTQ60A >$PINDEFAULTQ7 >$PINDEFAULTCASCADE$PINDEFAULTCLKIN?$PINDEFAULTHOLDp_$PINDEFAULTTRIGP$PINDEFAULTCLKOUT{*DEVICE} {ACTIVE=PATTGEN,0,DLL} {HELP=INSTRUMENTS>POPUP,504} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDATA="",HIDDEN STRING} {MODDLL="VSM Model DLL",READONLY STRING} {CLOCKRATE="Clock Rate",STRING} {TRIGGERRATE="Reset Rate",STRING} {CLOCKMODE="Clock Mode",KWDLIST,3,0="Internal",1="External Pos Edge",2="External Neg Edge"} {TRIGGERMODE="Reset Mode",KWDLIST,5,0="Internal",1="Async External Pos Edge",2="Sync External Pos Edge",3="Async External Neg Edge",4="Sync External Neg Edge"} {PERIODSEL="Period Selected",HIDDEN INT} {CLOCKOUTSEL="Clockout Enabled in Internal Mode",YESNO} {OUTPUTMODE="Output Configuration",KWDLIST,3,0="Output to Both Pins and Bus",1="Output to Pins Only",2="Output to Bus Only"} {PROGRAM="Pattern Generator Script",FILENAME,FALSE,,Pattern Generator Script/*.PTN} {*INDEX} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDATA=1024,0} {MODDLL=PATTGEN.DLL} {CLOCKRATE=1.200kHz} {TRIGGERRATE=500.0mHz} {CLOCKOUTSEL=0} {TRIGGERMODE=0} {CLOCKMODE=0} {OUTPUTMODE=0} {PACKAGE=NULL} $ISIGNAL GENERATORѕF@8"ȪȪwCOMPONENT@p_ A80"`OȪaCOMPONENT?|HLD"ȪȪCOMPONENT`n"x 0x LD"ȪȪCOMPONENTH`Hn=x 0x LD"ȪȪCOMPONENTH`HSRH`HLD"ȪȪCOMPONENTx `x SmH`H<4"ȪȪCOMPONENTp<4"ȪȪCOMPONENTHp<4"ȪȪCOMPONENTHpH<4"ȪȪCOMPONENTHx p<4"ȪȪCOMPONENT@0X<4"ȪȪCOMPONENT0X`(<4"ȪȪCOMPONENT`(x @<4"ȪȪCOMPONENTO/<4"ȪȪCOMPONENT/H/<4"ȪȪCOMPONENTH/HO<4"ȪȪCOMPONENTHOx O<4"ȪȪCOMPONENTx Ox /<4"wȪȪxCOMPONENT?@M ?80"`OȪ`COMPONENT<4"wȪȪxCOMPONENT80"`OȪ`COMPONENT@<4"wȪȪxCOMPONENT@kc"ȪȪCOMPONENTp_O ArialAMkc"ȪȪCOMPONENTO ArialFMjb"ȪȪCOMPONENT ` Arial+jb"ȪȪCOMPONENT ? Arial-04$MKRORIGIN p_p_$PINSHORTA p_$PINSHORTF `$PINSHORT+ ?$PINSHORT-{*DEVICE} {ACTIVE=SIGGEN,0,DLL} {HELP=INSTRUMENTS>POPUP,503} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model",READONLY STRING} {*INDEX} {*COMPONENT} {MODDLL=SIGGEN.DLL} {PRIMITIVE=ANALOG} {PACKAGE=NULL} $ISPI DEBUGGERѕF)(@8"ȪȪCOMPONENTp_& A@8"ȪbCOMPONENTO/ 04$MKRORIGINld"ȪȪ{COMPONENT)  ArialSPI`$PINDEFAULTDIN0$PINDEFAULTDOUT$PINDEFAULTSCK$PINDEFAULT$SS$?$PINDEFAULTTRIGE{*DEVICE} {ACTIVE=SPI_DEBUG,0,DLL} {HELP=INSTRUMENTS>POPUP,509} {*PROPDEFS} {PRIMITIVE="Primitive Type",HIDDEN STRING} {MODDLL="VSM Model DLL",HIDDEN STRING} {MODE="SPI Mode",KWDLIST,3,Monitor,Master,Slave} {CLOCKFREQ="Master clock frequency in Hz",FLOAT,PNZ} {IDLESTATE="SCK Idle state is",HILOW} {SAMPLEEDGE="Sampling edge",KWDLIST,2,Idle to active,Active to idle} {BITORDER="Bit order",KWDLIST,2,MSB first,LSB first} {WORDLENGTH="Word length",ADVANCED INT,1,16} {STOPONEMPTY="Stop on output buffer empty",BOOL} {TIMEPREC="Time display precision",ADVANCED INT,1,12} {WRAPLENGTH="New line after",ADVANCED INT,1,64} {AUTOLOAD="Queue stored sequences at startup",ADVANCED YESNO} {SEQUENCE_FILE="Sequence file",ADVANCED FILENAME,FALSE,,Text file/*.txt} {LOOPBACK="Loopback mode",ADVANCED BOOL} {*INDEX} {CAT=Miscellaneous} {SUBCAT=} {MFR=} {DESC=SPI Protocol Debugger} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=spidebug.dll} {AUTOLOAD=0} {MODE=Monitor} {CLOCKFREQ=1000000} {IDLESTATE=0} {SAMPLEEDGE=Idle to active} {BITORDER=MSB first} {WORDLENGTH=8} {STOPONEMPTY=1} {LOOPBACK=0} {PACKAGE=NULL} $IVIRTUAL TERMINALѕF@8"ȪȪwCOMPONENT@@.@8"8cȪmCOMPONENT@p_ A04$MKRORIGIN@8"ȪfCOMPONENTXo` $PINDEFAULTRXD$PINDEFAULTRTS0$PINDEFAULTTXDp_$PINDEFAULTCTSE{*DEVICE} {HELP=INSTRUMENTS>POPUP,506} {*PROPDEFS} {PRIMITIVE="Simulator Primitive",HIDDEN STRING} {MODDLL="VSM Model",HIDDEN STRING} {BAUDRATE="Baud Rate",KWDEDIT,9,110,300,1200,2400,4800,9600,19200,38400,57600} {DATABITS="Data Bits",KWDLIST,2,7,8} {PARITY="Parity",KWDLIST,3,NONE,EVEN,ODD} {STOPBITS="Stop Bits",KWDLIST,2,1,2} {XONXOFF="Send XON/XOFF",YESNO} {SIGPOL="RX/TX Polarity",ADVANCED KWDLIST,2,0="Normal",1="Inverted"} {CTLPOL="RTS/CTS Polarity",ADVANCED KWDLIST,2,0="Normal",1="Inverted"} {PACKAGE="PCB Package",PACKAGE} {TRACE="Trace Events",ADVANCED TRACEMODE} {*INDEX} {CAT=Virtual Instruments} {SUBCAT=} {MFR=} {DESC=Virtual RS232 / teletype terminal.} {*COMPONENT} {PRIMITIVE=DIGITAL} {MODDLL=VTERM.DLL} {BAUDRATE=9600} {DATABITS=8} {PARITY=NONE} {STOPBITS=1} {XONXOFF=0} {SIGPOL=0} {CTLPOL=0} {PACKAGE=NULL} {TRACE=1} 1N4007fC,j`"ȪȪCOMPONENT00000<4"ȪȪCOMPONENT00004$MKRORIGIN $PINSHORTA 0$PINSHORTK{{*DEVICE} PREFIX=D {*PROPDEFS} PRIMITIVE=Simulator Primitive Type,HIDDEN STRING MODEL=LISA Model,STRING PACKAGE=PCB Package,PACKAGE,1,DO41 {*INDEX} {CAT=Diodes} {SUBCAT=Rectifiers} {DESC=Silicon Rectifier. Maximum Recurrent Peak Reverse Voltage 1000V. Maximum Average Forward Rectified Current 1.0A} {*COMPONENT} {PRIMITIVE=ANALOGUE,DIODE} {MODEL=LX_D_POW,DIODE} {PACKAGE=DO41} 3352T-1-502LFUSI\ @8"ȪȪCOMPONENT s/ @<4"ȪȪCOMPONENT?/<4"ȪȪCOMPONENTH`<4"ȪȪCOMPONENT9<4"ȪȪCOMPONENTp<4"ȪȪCOMPONENT004$MKRORIGIN ?$PINSHORT1 `$PINSHORT2 0$PINSHORT3POT_0@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PIN/Hi64PIN/(64PIN/0/04$MKRORIGIN64PIN0/0POT_1@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PIN`Y64PIN`Y64PIN`Y0`Y04$MKRORIGIN64PIN0`Y0POT_2@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PIN64PINxI64PIN004$MKRORIGIN64PIN00POT_3@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PIN@64PIN s64PIN004$MKRORIGIN64PIN00POT_4@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PINX64PINXȜ64PINX0X04$MKRORIGIN64PIN0X0POT_5@8"ȪȪCOMPONENT s/ @64PIN?/64PINH`64PIN964PINp64PIN004$MKRORIGINPOT_6@8"ȪȪCOMPONENT sH @64PIN`H64PIN/?64PIN)64PIN)8c64PIN)0)04$MKRORIGIN64PIN0)0POT_7@8"ȪȪCOMPONENT sH @64PIN`H64PIN/?64PINPS64PINPS64PINPS0PS04$MKRORIGIN64PIN0PS0POT_8@8"ȪȪCOMPONENT sH @64PIN`H64PIN/?64PIN|hC64PIN|64PIN|0|04$MKRORIGIN64PIN0|0POT_9@8"ȪȪCOMPONENT sH @64PIN`H64PIN/?64PINm64PIN064PIN004$MKRORIGIN64PIN00POT_10@8"ȪȪCOMPONENT sH @64PIN`H64PIN/?64PINH64PINH 64PINH0H04$MKRORIGIN64PIN0H0{*DEVICE} {PREFIX=RV} {ACTIVE=POT,11} {*PROPDEFS} {MODFILE="Law",KWDLIST,2,POT_LIN="Linear",POT_LOG="Log"} {STATE="Active State",HIDDEN STRING} {VALUE="Component &Value",STRING} {PACKAGE="PCB Package",PACKAGE,0} {*INDEX} {CAT=Resistors} {SUBCAT=Variable} {DESC=POT 5K OHM THUMBWHEEL CERM ST} {MFR=Bourns} {CODE=Digikey 3352T-502LF-ND} {*COMPONENT} {VALUE=5K} {MODFILE=POT_LIN} {STATE=5} {PACKAGE=PRE-THUMB} CAP]Iv,<4"ȪȪCOMPONENT)0)<4"ȪȪCOMPONENTX0X<4"ȪȪCOMPONENTX<4"ȪȪCOMPONENT)004$MKRORIGIN0$PINSHORT2$PINSHORT1{*DEVICE} {PREFIX=C} {HELP=MODELS>POPUP,122} {*PROPDEFS} {PINSWAP="Pin Swap List",HIDDEN STRING} {PRIMITIVE="Simulator Primitive Type",HIDDEN STRING} {VALUE="Capacitance",FLOAT,PNZ} {PACKAGE="PCB Package",PACKAGE,2,CAP10,CAP20} {*INDEX} {CAT=Capacitors} {SUBCAT=Generic} {DESC=Generic non-electrolytic capacitor} {*COMPONENT} {VALUE=1nF} {PRIMITIVE=ANALOGUE,CAPACITOR} {PACKAGE=CAP10} *PINOUT CAP10 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT CAP20 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} CAP-ELEC]In$ @8"ȪȪCOMPONENT@0?@8"ȪȪCOMPONENT)0?<4"ȪȪCOMPONENT0<4"ȪȪCOMPONENT@<4"ȪȪCOMPONENT)0<4"ȪȪCOMPONENT)<4"ȪȪCOMPONENT)<4"ȪȪCOMPONENT)04$MKRORIGIN $PINSHORT+ 0$PINSHORT-{*DEVICE} {PREFIX=C} {HELP=MODELS>POPUP,122} {*PROPDEFS} {PRIMITIVE="Simulator Primitive Type",HIDDEN STRING} {PACKAGE="PCB Package",PACKAGE,5,ELEC-RAD10,ELEC-RAD13,ELEC-RAD20,ELEC-RAD25,ELEC-RAD30} {VALUE="Capacitance",FLOAT} {*INDEX} {CAT=Capacitors} {SUBCAT=Generic} {DESC=Generic electrolytic capacitor} {*COMPONENT} {VALUE=1uF} {PRIMITIVE=ANALOGUE,CAPACITOR} {PACKAGE=ELEC-RAD10} LED-YELLOWK 80"ȪgCOMPONENT<4"ԔȪȪ}COMPONENT64PIN0)64PINj`"ԔȪdCOMPONENTPS))PS)04$MKRORIGIN 0 $PINSHORTA  $PINSHORTKLED_YELLOW_080"ȪgCOMPONENT<4"ԔȪȪ}COMPONENT=8`O@MARKERpp  s94`O@MARKER s94`O@MARKER s64PIN)64PIN`Yj`"ԔȪdCOMPONENTPS))PS)LED_YELLOW_1V80"@@ȪgCOMPONENT<4"ԔȪȪ}COMPONENT64PIN)64PIN`Yj`"ԔBBȪdCOMPONENTPS))PS)LED_YELLOW_2V80"ffȪgCOMPONENT<4"ԔȪȪ}COMPONENT64PIN)64PIN`Yj`"Ԕ^^ȪdCOMPONENTPS))PS)LED_YELLOW_3V80"ȪgCOMPONENT<4"ԔȪȪ}COMPONENT64PIN)64PIN`Yj`"ԔȪdCOMPONENTPS))PS)LED_YELLOW_4V80"ȪgCOMPONENT<4"ԔȪȪ}COMPONENT64PIN)64PIN`Yj`"ԔȪdCOMPONENTPS))PS)LED_YELLOW_5V80"ȪgCOMPONENT<4"ԔȪȪ}COMPONENT64PIN)64PIN`Yj`"ԔȪdCOMPONENTPS))PS)LED_YELLOW_6V80"ȪgCOMPONENT<4"ԔȪȪ}COMPONENT64PIN)64PIN`Yj`"Ԕ ȪdCOMPONENTPS))PS)LED_YELLOW_7V80"ȪgCOMPONENT<4"ԔȪȪ}COMPONENT64PIN)64PIN`Yj`"Ԕ/ȪdCOMPONENTPS))PS)B{*DEVICE} {PREFIX=D} {ACTIVE=LED_YELLOW,8} {*PROPDEFS} {MODFILE="Model Type",KWDLIST,2,LEDA="Analog",LEDD="Digital"} {VF="Forward Voltage",FLOAT,PNZ} {IMAX="Full drive current",FLOAT,PNZ} {BV="Breakdown Voltage",ADVANCED FLOAT,PNZ} {RS="Series Resistance",ADVANCED FLOAT,PNZ} {ROFF="Off Resistance",ADVANCED FLOAT} {TLITMIN="Minimum on time to light",ADVANCED FLOAT,PNZ} {PACKAGE="PCB Package",PACKAGE,0} {*INDEX} {CAT=Optoelectronics} {SUBCAT=LEDs} {DESC=Animated LED model (Yellow)} {*COMPONENT} {MODFILE=LEDA} {VF=2.2V} {IMAX=10mA} {BV=4V} {RS=3} {ROFF=100k} {TLITMIN=0.1m} LM317T,+POPUP,100} {*PROPDEFS} {VALUE="Resistance",FLOAT,PNZ} {PRIMITIVE="Model Type",KWDLIST,2,ANALOG,DIGITAL} {PRIMTYPE="Primitive Type",HIDDEN STRING} {PINSWAP="Pin Swap List",HIDDEN STRING} {PACKAGE="PCB Package",PACKAGE,8,0402,0603,0805,1206,RES40,RES50,RES60,RES90} {*INDEX} {CAT=Resistors} {SUBCAT=Generic} {DESC=Generic resistor symbol} {*COMPONENT} {VALUE=10k} {PRIMITIVE=ANALOG} {PRIMTYPE=RESISTOR} {PACKAGE=RES40} *PINOUT 0402 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT 0603 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT 0805 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT 1206 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT RES40 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT RES50 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT RES60 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} *PINOUT RES90 {ELEMENTS=1} {PIN "1" = 1} {PIN "2" = 2} {PINSWAP=1,2} TRAN-2P2S]I ` (<4"ȪȪCOMPONENT ><4"ȪȪCOMPONENTx x ><4"ȪȪCOMPONENT >LD"ȪȪCOMPONENT`/``YH0LD"ȪȪCOMPONENT`/`HiH?0?LD"ȪȪCOMPONENT`O`0yH?0?LD"ȪȪCOMPONENT`O`Hp_0p_LD"ȪȪCOMPONENT`Xo`Hp_0p_LD"ȪȪCOMPONENT`Xo`H@0@LD"ȪȪCOMPONENT`(`иH@0@LD"ȪȪCOMPONENT`(`H0LD"ȪȪCOMPONENT``H0LD"ȪȪCOMPONENT``H0LD"ȪȪCOMPONENT``pH0LD"ȪȪCOMPONENT``XH0LD"ȪȪCOMPONENT``@H0LD"ȪȪCOMPONENT``((H0LD"ȪȪCOMPONENT`h`8H0LD"ȪȪCOMPONENT`h`GHP0P<4"ȪȪCOMPONENT0<4"ȪȪCOMPONENT0PP<4"ȪȪCOMPONENT A`LD"ȪȪCOMPONENT/`Y `LD"ȪȪCOMPONENT/Hi ?`?LD"ȪȪCOMPONENTO0y ?`?LD"ȪȪCOMPONENTO p_`p_LD"ȪȪCOMPONENTXo p_`p_LD"ȪȪCOMPONENTXo @`@LD"ȪȪCOMPONENT(и @`@LD"ȪȪCOMPONENT( `LD"ȪȪCOMPONENT `LD"ȪȪCOMPONENT `LD"ȪȪCOMPONENTp `LD"ȪȪCOMPONENTX `LD"ȪȪCOMPONENT@ `LD"ȪȪCOMPONENT(( `LD"ȪȪCOMPONENTh8 `LD"ȪȪCOMPONENThG P`P<4"ȪȪCOMPONENT`P AP04$MKRORIGIN $PINDEFAULTP1 P$PINDEFAULTP2 AP$PINDEFAULTS2 A$PINDEFAULTS1{*DEVICE} {PREFIX=TR} {*PROPDEFS} {LP="Primary Inductance",FLOAT,PNZ} {LS="Secondary Inductance",FLOAT,PNZ} {M="Coupling Factor",FLOAT,0,1} {MODFILE="LISA Model File",HIDDEN FILENAME,FALSE,%ROOT%\MODELS,Model Files/*.MDF} {RP="Primary DC resistance",STRING} {RS="Secondary DC resistance",STRING} {*INDEX} {CAT=Inductors} {SUBCAT=Transformers} {DESC=Simple transformer} {*COMPONENT} {LP=1H} {LS=1H} {M=1.0} {MODFILE=TRAN2P2S} {RP=1m} {RS=1m} ]ISIS CIRCUIT FILE( MOBJECT DATAC11#2H Default FontCOMPONENT ID2200uF`50 Default FontCOMPONENT VALUECAP-ELEC10 Default FontSUBCKT NAME{PRIMITIVE=ANALOGUE,CAPACITOR} 00 Default FontPROPERTIES4{PRIMITIVE=ANALOGUE,CAPACITOR} {PACKAGE=ELEC-RAD10} CAP-ELEC@|gRl@U1 "D2H Default FontCOMPONENT IDLM317T `R>50 Default FontCOMPONENT VALUELM317T 0r:10 Default FontSUBCKT NAME-{MODFILE=LM317_1} {RSC=0.3} {PACKAGE=TO220} 0r:00 Default FontPROPERTIES-LM317T@.pb2 XgD\@l@XTHQ@WIRE@ A@C34 2H Default FontCOMPONENT ID10uF4 50 Default FontCOMPONENT VALUECAP-ELEC410 Default FontSUBCKT NAME{PRIMITIVE=ANALOGUE,CAPACITOR} 400 Default FontPROPERTIES4{PRIMITIVE=ANALOGUE,CAPACITOR} {PACKAGE=ELEC-RAD10} CAP-ELEC@. | [\CR1oMp*2H Default FontCOMPONENT ID120oM(!%50 Default FontCOMPONENT VALUERESoM@!10 Default FontSUBCKT NAME({PRIMITIVE=ANALOG} {PRIMTYPE=RESISTOR} oM@!00 Default FontPROPERTIES9{PRIMITIVE=ANALOG} {PRIMTYPE=RESISTOR} {PACKAGE=RES40} RESI&| 5D\@.XC[A[@WIRE@.0@.Ipb25DgDI@WIREI@.Ipb2@WIRE`Epb2Ipb2TR1Ipp*2H Default FontCOMPONENT ID TRAN-2P2SIpА50 Default FontCOMPONENT VALUE TRAN-2P2SIp10 Default FontSUBCKT NAME+{LP=1H} {LS=1H} {M=1.0} {MODFILE=TRAN2P2S} Ip00 Default FontPROPERTIESA{LP=48400H} {LS=784H} {M=1.0} {MODFILE=TRAN2P2S} {RP=1m} {RS=1m}  TRAN-2P2Sp*GHTXS9WY& M4EA5EFC7$SINEGENTR1(P1)^R(,50 Default FontGENERATOR LABEL0{AMP=1} {OFFSET=0} {FREQ=1} {PHASE=0} {THETA=0} ^R*00 Default FontPROPERTIESZ{AMP=220} {OFFSET=0} {FREQ=50} {PHASE=0} {THETA=0} {MANUALEDITS=FALSE} {HIDDENPROPS=TRUE} GDigikey RT1206FRE0713RL-ND@WIREh&WY&@@ $TERGROUND 40 Default FontTERMINAL LABELTH@WIRE@@p_h? $TERGROUND d@40 Default FontTERMINAL LABELH@WIREh`d`dhh0hpb2 P4EA5E0EF$VPROBEC4(+)pep2820 Default FontVPROBE LABELpepB600 Default FontPROPERTIESI V@WIREIpb2hpb2D1Pp*2H Default FontCOMPONENT ID1N4007PP"50 Default FontCOMPONENT VALUE1N4007P 10 Default FontSUBCKT NAME2{PRIMITIVE=ANALOGUE,DIODE} {MODEL=LX_D_POW,DIODE} P 00 Default FontPROPERTIESA{PRIMITIVE=ANALOGUE,DIODE} {MODEL=LX_D_POW,DIODE} {PACKAGE=DO41} 1N4007ۦ&EQRD2Ѝp*2H Default FontCOMPONENT ID1N4007ЍP"50 Default FontCOMPONENT VALUE1N4007Ѝ 10 Default FontSUBCKT NAME2{PRIMITIVE=ANALOGUE,DIODE} {MODEL=LX_D_POW,DIODE} Ѝ 00 Default FontPROPERTIESA{PRIMITIVE=ANALOGUE,DIODE} {MODEL=LX_D_POW,DIODE} {PACKAGE=DO41} 1N40070&&SQD3Ѝ 2H Default FontCOMPONENT ID1N4007Ѝq50 Default FontCOMPONENT VALUE1N4007ЍА10 Default FontSUBCKT NAME2{PRIMITIVE=ANALOGUE,DIODE} {MODEL=LX_D_POW,DIODE} ЍА00 Default FontPROPERTIESA{PRIMITIVE=ANALOGUE,DIODE} {MODEL=LX_D_POW,DIODE} {PACKAGE=DO41} 1N40070 SRD4l 2H Default FontCOMPONENT ID1N4007lq50 Default FontCOMPONENT VALUE1N4007lА10 Default FontSUBCKT NAME2{PRIMITIVE=ANALOGUE,DIODE} {MODEL=LX_D_POW,DIODE} lА00 Default FontPROPERTIESA{PRIMITIVE=ANALOGUE,DIODE} {MODEL=LX_D_POW,DIODE} {PACKAGE=DO41} 1N4007໪  QSP A QEQQ@WIREۦ P P A@WIRE&P&P A@WIRE@ ; ; AP A}P!QRR@WIRE&}&}P!@WIRE } }P!@*gR?XR@WIRE@&@*@WIRE}P!P!*@*<&R&SXS@WIRE&<&@WIRE&<&@WIRE&z&zpb2>00A0I@[[\@WIRE@.@.@I@@WIREh0h@I@@WIREI?I@@.P![\\ ]@WIRE@.`@.P!@WIRE@.@.P!I A\ ]L]@WIREIP!I A@WIRE@.P!pb2P!pb2 AI A@WIREII AISIS CIRCUIT FILE MOBJECT DATACCT000= __DEFAULT__]ROOT10C1 U1VI3VO2ADJ1 C3 R11122 TR1 M4EA5EFC7 P4EA5E0EFD1D2D3D4C4!C2"RV1CCT000 __DEFAULT__ __DEFAULT__YDIODE.MDFLISA MODEL DESCRIPTION FORMAT 6.1 ================================= Design: K:\PROLIBS\DIODE\models.DSN Doc. no.: Revision: Author: Created: 28/10/98 Modified: 29/01/08 *PROPERTIES,0 *MODELDEFS,6 LX_D_LED : ISAT=1E-14, N=3, RS=2, BV=15.0, CJO=50pF LX_D_POW : IS=2.55E-9,RS=0.042,N=1.75,TT=5.76E-6,CJO=1.85E-11,VJ=0.75,M=0.333,BV=1000,IBV=9.86E-5 LX_D_SCHB : ISAT=100n,RS=12,CJO=1p,TT=5n,EG=0.69 LX_D_SS : IS=222p,RS=68.6m,BV=75.0,IBV=1.00u,CJO=4.00p,M=0.333,N=1.65,TT=5.76n LX_D_SSG : IS=54.12u,N=4.209,RS=42.72,IKF=0,XTI=3,EG=1.11,CJO=1p,M=.3333,VJ=.75,FC=.5,ISR=13.54E-21,NR=4.62,BV=22.5,IBV=5e-2,TT=5n LX_D_ZEN : ISAT=10n,RS=5,CJO=1p,TT=1n *PARTLIST,4 D1,1N4001,LX_D_POW,MODEL=LX_D_POW,PRIMITIVE=ACTIVE,PRIMTYPE=DIODE D2,1N4001,LX_D_POW,MODEL=LX_D_POW,PRIMITIVE=ACTIVE,PRIMTYPE=DIODE D3,1N4001,LX_D_POW,MODEL=LX_D_POW,PRIMITIVE=ACTIVE,PRIMTYPE=DIODE D4,1N4001,LX_D_POW,MODEL=LX_D_POW,PRIMITIVE=ACTIVE,PRIMTYPE=DIODE *NETLIST,4 AC1,3 AC1,GT D1,PS,A D4,PS,K +,3 +,GT D1,PS,K D3,PS,K -,3 -,GT D2,PS,A D4,PS,A AC2,3 AC2,GT D2,PS,K D3,PS,A *GATES,0 FLM317_1.MDFLISA MODEL DESCRIPTION FORMAT 3.0 ================================= Design: LM317 Converted by SPICELNK.EXE Created: 30-Apr-96 *PROPERTIES,1 NO_PARTITION=TRUE *MODELDEFS,0 *PARTLIST,26 QSC,NPN,BJT,PRIMITIVE=PASSIVE QN1,NPN,BJT,BETAF=2.500000E+002,PRIMITIVE=PASSIVE QN2,NPN,BJT,BETAF=2.500000E+002,PRIMITIVE=PASSIVE GM,VCISOURCE,-1.000000E-003,PRIMITIVE=PASSIVE EREF,VCISOURCE,1.250000E+003,PRIMITIVE=PASSIVE,RPARA=1m E,VCISOURCE,1.000000E+003,PRIMITIVE=PASSIVE,RPARA=1m ESC,VCISOURCE,1.666700E+003,PRIMITIVE=PASSIVE,RPARA=1m FREF,ICISOURCE,0.0,CA=5.000000E-001,POLY=1,PRIMITIVE=PASSIVE,PROBEA=VCHAIN VCHAIN,IPROBE,IPROBE,PRIMITIVE=PASSIVE FX,ICISOURCE,0.0,CA=1.000000E+000,POLY=1,PRIMITIVE=PASSIVE,PROBEA=VCHAIN DON,DIODE,DIODE,N=2.200000E+000,PRIMITIVE=PASSIVE DSC,DIODE,DIODE,BV=1.300000E+001,PRIMITIVE=PASSIVE JON,NJFET,JFET,BETA=1.000000E-004,PRIMITIVE=PASSIVE,VTO=-1.000000E+000 CX,CAPACITOR,1E-011,PRIMITIVE=PASSIVE C1,CAPACITOR,1E-009,PRIMITIVE=PASSIVE RBLK,RESISTOR,100000,PRIMITIVE=PASSIVE RY,RESISTOR,10000,PRIMITIVE=PASSIVE RT,RESISTOR,10000,PRIMITIVE=PASSIVE,TC1=-1.3125E-005,TC2=-8.451E-007 RX,RESISTOR,50000,PRIMITIVE=PASSIVE RXX,RESISTOR,4E+006,PRIMITIVE=PASSIVE RC1,RESISTOR,1000,PRIMITIVE=PASSIVE RYY,RESISTOR,4E+006,PRIMITIVE=PASSIVE RCC,RESISTOR,1000,PRIMITIVE=PASSIVE RDD,RESISTOR,39000,PRIMITIVE=PASSIVE RSC2,RESISTOR,900,PRIMITIVE=PASSIVE RSC,RESISTOR,,PRIMITIVE=PASSIVE *NETLIST,18 1,2 QN1,PS,2 RCC,PS,2 2,2 E,PS,1 RCC,PS,1 3,2 DSC,PS,2 RDD,PS,1 4,6 QSC,PS,1 GM,PS,2 E,PS,3 C1,PS,1 RXX,PS,1 RYY,PS,2 5,2 ESC,PS,1 RSC2,PS,2 6,3 QSC,PS,2 DSC,PS,1 RSC2,PS,1 7,3 QN2,PS,3 ESC,PS,3 RSC,PS,2 8,2 VCHAIN,PS,2 JON,PS,1 9,2 C1,PS,2 RC1,PS,2 10,2 QN1,PS,3 QN2,PS,2 11,2 CX,PS,1 RX,PS,1 12,3 GM,PS,3 CX,PS,2 RY,PS,2 13,3 EREF,PS,1 FREF,PS,1 RY,PS,1 14,3 EREF,PS,3 FX,PS,1 RT,PS,2 16,2 VCHAIN,PS,1 DON,PS,2 18,17 VO,GT QSC,PS,3 GM,PS,1 GM,PS,4 EREF,PS,4 E,PS,2 E,PS,4 ESC,PS,2 ESC,PS,4 FX,PS,2 JON,PS,2 JON,PS,3 RBLK,PS,1 RT,PS,1 RC1,PS,1 RYY,PS,1 RSC,PS,1 19,2 ADJ,GT EREF,PS,2 20,9 VI,GT QN1,PS,1 QN2,PS,1 FREF,PS,2 DON,PS,1 RBLK,PS,2 RX,PS,2 RXX,PS,2 RDD,PS,2 iTRAN2P2S.MDFLISA MODEL DESCRIPTION FORMAT 6.1 ================================= Design: K:\PROLIBS\DEVICE\Transformers.DSN Doc. no.: Revision: Author: Created: 05/11/98 Modified: 18/11/05 *PROPERTIES,2 RP=1e-3 RS=1e-3 *MODELDEFS,0 *PARTLIST,4 L1:A,INDUCTOR,,MUTUAL_B=,PRIMITIVE=ANALOGUE L1:B,INDUCTOR,,PRIMITIVE=ANALOGUE RP,RESISTOR,,PRIMITIVE=ANALOGUE RS,RESISTOR,,PRIMITIVE=ANALOGUE *NETLIST,6 #00001,2 L1:A,PS,2 RP,PS,1 #00003,2 L1:B,PS,2 RS,PS,2 P2,2 P2,GT L1:A,PS,1 S2,2 S2,GT L1:B,PS,1 P1,2 P1,GT RP,PS,2 S1,2 S1,GT RS,PS,1 *GATES,0 ???@ư>1- ABSTOL1e-12 BADMOS3No BYPASSYes CHGTOL1e-14DEFAD0DEFAS0 DEFAULTS0 DEFL0.0001 DEFW0.0001 GMIN1e-12GMINSTEPS120 ITL1100ITL250ITL410 LOGSTART0 LOGTIME60 MAXORD2 METHODGEAR NOOPITERNo NUMSTEPS50 OLDLIMITNo PIVREL0.001 PIVTOL1e-13 RELTOL0.001 RSHUNT1e+12 SRCSTEPS120 TDLOWER0.9 TDSCALE1 TDUPPER1.1TEMP27 TMIN1e-18TNOM27TRACE_CONTENTIONS1,0TRACE_CONVERGENCE1,1TRACE_DCPATHS1,2TRACE_ITFMODS1,3TRACE_NETTYPES1,4TRACE_OPTIONS1,5TRACE_PERFORMANCE1,6TRACE_SPICELINK1,7TRANGMIN1e-09TRTOL7TRYTOCOMPACTNo TTOL1e-09 VNTOL1e-06@v6>pYx`RPT &00N